Welcome![Sign In][Sign Up]
Location:
Search - fpga ad

Search list

[Other resourcesysboard

Description: 基于AT89C52和FPGA的小系统板,含AD/DA接口
Platform: | Size: 15922 | Author: wk | Hits:

[Other resourcesysboard

Description: FPGA板,含AD/DA,LCD等模块。(原理图)
Platform: | Size: 5041258 | Author: wk | Hits:

[Other resourceAD0804_FPGA_VHDL

Description: FPGA控制串行AD(AD0804),状态机实现,可以根据该程序实现数字电压计,数字温度计的设计
Platform: | Size: 268231 | Author: 张焱 | Hits:

[Other resourceAD7865test1

Description: verilog hdl写的利用fpga控制ad7865进行多路ad数据采集的程序源代码。
Platform: | Size: 309313 | Author: nwpu2005 | Hits:

[Linux-Unixdigit

Description: 数字电压计是个实验例子,她使用FPGA开发板的AD、DA接口开发的数字电压表
Platform: | Size: 10296 | Author: suoguang | Hits:

[Other resource50vvoltmeter

Description: 通过外部的键盘可以对系统进行复位控制和显示档位选择,不同的档位选择不同的输入电压范围(0~5、5~50)。 (1)控制部分:采用FPGA为控制核心 (2)AD转换部分:采用逐次逼近(比较)型AD转换器ADC0809; (3)显示部分:采用液晶LCD显示
Platform: | Size: 138719 | Author: 丁珊珊 | Hits:

[Other resourceADC

Description: 用verilog编程实现的基于FPGA的AD数据采集程序
Platform: | Size: 499824 | Author: 张西贝 | Hits:

[Other resourceAD9863_if_old-2005-5-8

Description: fpga开发的程序,内容都不错,主要是ad
Platform: | Size: 1323 | Author: bob | Hits:

[Windows Developi2c

Description: 实现fpga芯片控制pcf8591进行ad转换的功能。希望能给大家带来帮助
Platform: | Size: 196591 | Author: 郑玮 | Hits:

[VHDL-FPGA-VerilogFPGAprogram5

Description:
Platform: | Size: 3072 | Author: 许嘉 | Hits:

[VHDL-FPGA-VerilogAD9852

Description: 数字频率合成器芯片AD9852 的配置文件,HDL级的Verilog代码-DDS chip AD9852 profile, HDL-level Verilog code
Platform: | Size: 1024 | Author: 李春阳 | Hits:

[VHDL-FPGA-VerilogAD0804_FPGA_VHDL

Description:
Platform: | Size: 268288 | Author: 张焱 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 北大微电子学系于敦山老师的课件,介绍Verilog HDL、Cadence Verilog仿真器、可综合的Verilog HDL、设计举例、自动布局布线工具、Verilog的词汇约定等内容-Department of Microelectronics, Peking University in the teacher s courseware mts on Verilog HDL, Cadence Verilog simulator can be integrated Verilog HDL, design, for example, automatic placement and routing tools, Verilog, etc. terms agreed
Platform: | Size: 1550336 | Author: 唐进 | Hits:

[VHDL-FPGA-Verilog28_ad9226_test

Description: 此程序完成了的双路数据的采集,通过ad模块将模拟数据转化为12位数字信号,并通过串口发送在pc端的串口助手中显示(This program has completed the acquisition of dual data. Through the ad module, the analog data is converted into 12 bit digital signals and is sent to the serial port assistant at the PC side through the serial port.)
Platform: | Size: 3362816 | Author: 张小er | Hits:

[VHDL-FPGA-Verilogdegree_of_distortion

Description: 基于FPGA开发板和AD/DA板设计了一个数字化失真度测量仪(A digital distortion measuring instrument is designed based on FPGA development board and AD/DA board.)
Platform: | Size: 41984 | Author: 喑哑无言 | Hits:

[VHDL-FPGA-Verilogtest_ADC

Description: verilog 数模转换程序,包括AD与DA,AD能够对于波形的数值进行输出,使用的是ego1开发板(transition of A/D signal)
Platform: | Size: 12637184 | Author: 白珑 | Hits:

[Other Embeded programad9226

Description: ad 9226 数据采集芯片的 FPGA 实现,FPGA 对数据的采集准确,通过仿真和实测(The FPGA implementation of ad9226 data acquisition chip,FPGA data acquisition accuracy, through simulation and measurement.)
Platform: | Size: 5377024 | Author: 毛积极 | Hits:

[VHDL-FPGA-Verilog串口电压表VHDL

Description: 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
Platform: | Size: 4210688 | Author: LB明 | Hits:

[VHDL-FPGA-VerilogAM调制解调

Description: 基于Artix-7 FPGA的AM调制解调代码,从AD读入信号后,进行AM调制,并解调输出(将代码分成两个工程就是AM的调制和解调),其中解调用到的数字滤波采用MATLAB设计(The AM modulation and demodulation code based on artix-7 FPGA, after reading the signal from AD, carries out AM modulation, and demodulates the output (the code is divided into two projects, namely AM modulation and demodulation). The digital filter used in demodulation is designed by MATLAB)
Platform: | Size: 41027584 | Author: Emmanuel000 | Hits:

[VHDL-FPGA-VerilogADC9481

Description: 利用FPGA对AD9481进行采样,亲测有用(Sampling ad9481 with FPGA)
Platform: | Size: 32768 | Author: 山粉小圆子 | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »

CodeBus www.codebus.net